/Zephyr-latest/boards/arduino/nano_33_ble/ |
D | board.c | 16 static const struct gpio_dt_spec user_led = in board_init() local 23 if (!gpio_is_ready_dt(&user_led)) { in board_init() 32 return gpio_pin_configure_dt(&user_led, GPIO_OUTPUT_INACTIVE); in board_init()
|
/Zephyr-latest/soc/altr/zephyr_nios2f/cpu/ |
D | ghrd_10m50da_top.v | 37 output wire [4:0] user_led port 98 .led_external_connection_export (user_led[3:0]), 116 assign user_led[4] = heart_beat_cnt[25];
|
D | ghrd_timing.sdc | 15 set_false_path -to [get_ports {user_led[*]}]
|
D | ghrd_10m50da.qsf | 85 set_location_assignment PIN_T20 -to user_led[0] 86 set_location_assignment PIN_U22 -to user_led[1] 87 set_location_assignment PIN_U21 -to user_led[2] 88 set_location_assignment PIN_AA21 -to user_led[3] 89 set_location_assignment PIN_AA22 -to user_led[4] 186 set_instance_assignment -name IO_STANDARD "1.5 V" -to user_led[0] 187 set_instance_assignment -name IO_STANDARD "1.5 V" -to user_led[1] 188 set_instance_assignment -name IO_STANDARD "1.5 V" -to user_led[2] 189 set_instance_assignment -name IO_STANDARD "1.5 V" -to user_led[3] 190 set_instance_assignment -name IO_STANDARD "1.5 V" -to user_led[4] [all …]
|
/Zephyr-latest/boards/infineon/cy8cproto_062_4343w/ |
D | cy8cproto_062_4343w-common.dtsi | 10 led0 = &user_led; 16 user_led: led_0 { label
|
/Zephyr-latest/boards/renesas/rcar_spider_s4/ |
D | rcar_spider_s4_r8a779f0_r52.dts | 25 user_led: led_8 { label 41 led0 = &user_led;
|
/Zephyr-latest/boards/cypress/cy8ckit_062_wifi_bt/ |
D | cy8ckit_062_wifi_bt_cy8c6247_m0.dts | 20 led0 = &user_led; 33 user_led: led_0 { label
|
/Zephyr-latest/boards/renesas/rcar_h3ulcb/ |
D | rcar_h3ulcb_r8a77951_r7.dts | 26 user_led: led_5 { label 43 led0 = &user_led;
|
/Zephyr-latest/boards/wemos/esp32s2_lolin_mini/ |
D | esp32s2_lolin_mini.dts | 20 led0 = &user_led; 34 user_led: led { label
|
/Zephyr-latest/boards/infineon/cy8ckit_062s4/ |
D | cy8ckit_062s4.dts | 20 led0 = &user_led; 25 user_led: led_0 { label
|
/Zephyr-latest/boards/cypress/cy8ckit_062_ble/ |
D | cy8ckit_062_ble_common.dtsi | 11 led0 = &user_led; 17 user_led: led_0 { label
|
/Zephyr-latest/boards/atmel/sam/sam4l_ek/ |
D | sam4l_ek.dts | 18 led0 = &user_led; 31 user_led: led_0 { label
|
/Zephyr-latest/boards/mxchip/az3166_iotdevkit/ |
D | az3166_iotdevkit.dts | 17 led0 = &user_led; 60 user_led: user_led { label
|
/Zephyr-latest/boards/infineon/cy8cproto_063_ble/ |
D | cy8cproto_063_ble.dts | 20 led0 = &user_led; 37 user_led: led_0 { label
|
/Zephyr-latest/boards/others/stm32f401_mini/ |
D | stm32f401_mini.dts | 25 user_led: led { label 41 led0 = &user_led;
|
/Zephyr-latest/boards/weact/blackpill_f401cc/ |
D | blackpill_f401cc.dts | 25 user_led: led { label 41 led0 = &user_led;
|
/Zephyr-latest/boards/weact/blackpill_f411ce/ |
D | blackpill_f411ce.dts | 26 user_led: led { label 42 led0 = &user_led;
|
/Zephyr-latest/boards/weact/blackpill_f401ce/ |
D | blackpill_f401ce.dts | 25 user_led: led { label 41 led0 = &user_led;
|
/Zephyr-latest/boards/fanke/fk7b0m1_vbt6/ |
D | fk7b0m1_vbt6.dts | 26 user_led: led_0 { label 42 led0 = &user_led;
|
/Zephyr-latest/boards/fanke/fk750m1_vbt6/ |
D | fk750m1_vbt6.dts | 28 user_led: led_0 { label 35 led0 = &user_led;
|
/Zephyr-latest/boards/weact/mini_stm32h7b0/ |
D | mini_stm32h7b0.dts | 27 user_led: led { label 81 led0 = &user_led;
|
/Zephyr-latest/boards/particle/argon/dts/ |
D | mesh_feather.dtsi | 19 led0 = &user_led; 36 user_led: led_0 { label
|
/Zephyr-latest/boards/particle/boron/dts/ |
D | mesh_feather.dtsi | 19 led0 = &user_led; 36 user_led: led_0 { label
|
/Zephyr-latest/boards/particle/xenon/dts/ |
D | mesh_feather.dtsi | 19 led0 = &user_led; 36 user_led: led_0 { label
|
/Zephyr-latest/boards/weact/mini_stm32h743/ |
D | mini_stm32h743.dts | 25 user_led: led { label 79 led0 = &user_led;
|