Home
last modified time | relevance | path

Searched refs:user_led (Results 1 – 25 of 26) sorted by relevance

12

/Zephyr-latest/boards/arduino/nano_33_ble/
Dboard.c16 static const struct gpio_dt_spec user_led = in board_init() local
23 if (!gpio_is_ready_dt(&user_led)) { in board_init()
32 return gpio_pin_configure_dt(&user_led, GPIO_OUTPUT_INACTIVE); in board_init()
/Zephyr-latest/soc/altr/zephyr_nios2f/cpu/
Dghrd_10m50da_top.v37 output wire [4:0] user_led port
98 .led_external_connection_export (user_led[3:0]),
116 assign user_led[4] = heart_beat_cnt[25];
Dghrd_timing.sdc15 set_false_path -to [get_ports {user_led[*]}]
Dghrd_10m50da.qsf85 set_location_assignment PIN_T20 -to user_led[0]
86 set_location_assignment PIN_U22 -to user_led[1]
87 set_location_assignment PIN_U21 -to user_led[2]
88 set_location_assignment PIN_AA21 -to user_led[3]
89 set_location_assignment PIN_AA22 -to user_led[4]
186 set_instance_assignment -name IO_STANDARD "1.5 V" -to user_led[0]
187 set_instance_assignment -name IO_STANDARD "1.5 V" -to user_led[1]
188 set_instance_assignment -name IO_STANDARD "1.5 V" -to user_led[2]
189 set_instance_assignment -name IO_STANDARD "1.5 V" -to user_led[3]
190 set_instance_assignment -name IO_STANDARD "1.5 V" -to user_led[4]
[all …]
/Zephyr-latest/boards/infineon/cy8cproto_062_4343w/
Dcy8cproto_062_4343w-common.dtsi10 led0 = &user_led;
16 user_led: led_0 { label
/Zephyr-latest/boards/renesas/rcar_spider_s4/
Drcar_spider_s4_r8a779f0_r52.dts25 user_led: led_8 { label
41 led0 = &user_led;
/Zephyr-latest/boards/cypress/cy8ckit_062_wifi_bt/
Dcy8ckit_062_wifi_bt_cy8c6247_m0.dts20 led0 = &user_led;
33 user_led: led_0 { label
/Zephyr-latest/boards/renesas/rcar_h3ulcb/
Drcar_h3ulcb_r8a77951_r7.dts26 user_led: led_5 { label
43 led0 = &user_led;
/Zephyr-latest/boards/wemos/esp32s2_lolin_mini/
Desp32s2_lolin_mini.dts20 led0 = &user_led;
34 user_led: led { label
/Zephyr-latest/boards/infineon/cy8ckit_062s4/
Dcy8ckit_062s4.dts20 led0 = &user_led;
25 user_led: led_0 { label
/Zephyr-latest/boards/cypress/cy8ckit_062_ble/
Dcy8ckit_062_ble_common.dtsi11 led0 = &user_led;
17 user_led: led_0 { label
/Zephyr-latest/boards/atmel/sam/sam4l_ek/
Dsam4l_ek.dts18 led0 = &user_led;
31 user_led: led_0 { label
/Zephyr-latest/boards/mxchip/az3166_iotdevkit/
Daz3166_iotdevkit.dts17 led0 = &user_led;
60 user_led: user_led { label
/Zephyr-latest/boards/infineon/cy8cproto_063_ble/
Dcy8cproto_063_ble.dts20 led0 = &user_led;
37 user_led: led_0 { label
/Zephyr-latest/boards/others/stm32f401_mini/
Dstm32f401_mini.dts25 user_led: led { label
41 led0 = &user_led;
/Zephyr-latest/boards/weact/blackpill_f401cc/
Dblackpill_f401cc.dts25 user_led: led { label
41 led0 = &user_led;
/Zephyr-latest/boards/weact/blackpill_f411ce/
Dblackpill_f411ce.dts26 user_led: led { label
42 led0 = &user_led;
/Zephyr-latest/boards/weact/blackpill_f401ce/
Dblackpill_f401ce.dts25 user_led: led { label
41 led0 = &user_led;
/Zephyr-latest/boards/fanke/fk7b0m1_vbt6/
Dfk7b0m1_vbt6.dts26 user_led: led_0 { label
42 led0 = &user_led;
/Zephyr-latest/boards/fanke/fk750m1_vbt6/
Dfk750m1_vbt6.dts28 user_led: led_0 { label
35 led0 = &user_led;
/Zephyr-latest/boards/weact/mini_stm32h7b0/
Dmini_stm32h7b0.dts27 user_led: led { label
81 led0 = &user_led;
/Zephyr-latest/boards/particle/argon/dts/
Dmesh_feather.dtsi19 led0 = &user_led;
36 user_led: led_0 { label
/Zephyr-latest/boards/particle/boron/dts/
Dmesh_feather.dtsi19 led0 = &user_led;
36 user_led: led_0 { label
/Zephyr-latest/boards/particle/xenon/dts/
Dmesh_feather.dtsi19 led0 = &user_led;
36 user_led: led_0 { label
/Zephyr-latest/boards/weact/mini_stm32h743/
Dmini_stm32h743.dts25 user_led: led { label
79 led0 = &user_led;

12