Searched refs:get_ports (Results 1 – 2 of 2) sorted by relevance
8 create_clock -name {altera_reserved_tck} -period 41.667 [get_ports { altera_reserved_tck }]9 set_input_delay -clock altera_reserved_tck -clock_fall -max 5 [get_ports altera_reserved_tdi]10 set_input_delay -clock altera_reserved_tck -clock_fall -max 5 [get_ports altera_reserved_tms]11 set_output_delay -clock altera_reserved_tck 5 [get_ports altera_reserved_tdo]15 set_false_path -to [get_ports {user_led[*]}]16 set_false_path -to [get_ports {fpga_reset_n}]17 set_false_path -from [get_ports {fpga_reset_n}]22 set_output_delay -clock {clk_50 } -rise -min 11 [get_ports {qspi_io[*]}]23 set_output_delay -clock {clk_50 } -rise -min 11 [get_ports {qspi_clk}]24 set_output_delay -clock {clk_50 } -rise -min 11 [get_ports {qspi_csn}][all …]
43 static int get_ports(struct net_pkt *pkt, uint16_t *src, uint16_t *dst) in get_ports() function103 ret = get_ports(pkt, &src_port, &dst_port); in print_info()107 ret = get_ports(pkt, &src_port, &dst_port); in print_info()