Searched refs:qspi_io (Results 1 – 3 of 3) sorted by relevance
8 inout wire[3:0] qspi_io, port66 .ext_flash_qspi_pins_data (qspi_io),
22 set_output_delay -clock {clk_50 } -rise -min 11 [get_ports {qspi_io[*]}]25 set_input_delay -clock {clk_50 } -rise -min 10 [get_ports {qspi_io[*]}]
124 set_location_assignment PIN_C6 -to qspi_io[0]125 set_location_assignment PIN_C3 -to qspi_io[1]126 set_location_assignment PIN_C5 -to qspi_io[2]127 set_location_assignment PIN_B1 -to qspi_io[3]225 set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to qspi_io[0]226 set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to qspi_io[1]227 set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to qspi_io[2]228 set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to qspi_io[3]